SUPPORT THE WORK

GetWiki

TSMC

ARTICLE SUBJECTS
aesthetics  →
being  →
complexity  →
database  →
enterprise  →
ethics  →
fiction  →
history  →
internet  →
knowledge  →
language  →
licensing  →
linux  →
logic  →
method  →
news  →
perception  →
philosophy  →
policy  →
purpose  →
religion  →
science  →
sociology  →
software  →
truth  →
unix  →
wiki  →
ARTICLE TYPES
essay  →
feed  →
help  →
system  →
wiki  →
ARTICLE ORIGINS
critical  →
discussion  →
forked  →
imported  →
original  →
TSMC
[ temporary import ]
please note:
- the content below is remote from Wikipedia
- it has been imported raw for GetWiki
{{short description|Taiwanese semiconductor foundry company}}{{distinguish|Taiwan Semiconductor Company Limited|text=}}{{EngvarB|date=August 2016}}{{Use dmy dates|date=March 2024}}







factoids
> {{NYSE {{London Stock Exchange|OLCV}} }}sl=nUS8740391003}}| industry = {hide}Unbulleted list {edih}| fate = | predecessor = | successor = Industrial Technology Research Institute, Hsinchu, Taiwan()| founder = Morris Chang| defunct = | location_city = Hsinchu Science Park| location_country = Taiwan| locations = | area_served = WorldwideMark Liu (Chairman){{increase}}15 million 12-inch equivalent wafers (2022)}}Manufacture of integrated circuitsintegrated circuits packaging|multi wafer foundry services}}71.28 billion|link=yes}} (2023)36.49 billion}} (2022)27.67 billion}} (2023){{increase}} {{US$|161.6 billion}} (2022)}}94.95 billion}} (2022)| owner = | num_employees = {{increase}} 73,090 (2022)| parent = Systems on Silicon Manufacturing>SSMC (38.8% joint venture with NXP)WaferTechJASM}}www.tsmc.com/|tsmc.com}}ACCESS-DATE=19 MAY 2014 ARCHIVE-DATE=6 NOVEMBER 2017 URL-STATUS=LIVE, HTTPS://WWW.SEC.GOV/IX?DOC=/ARCHIVES/EDGAR/DATA/0001046179/000119312523107214/D428519D20F.HTM >TITLE=TSMC LTD 2022 ANNUAL REPORT (FORM 20-F) WEBSITE=SEC.GOV U.S. SECURITIES AND EXCHANGE COMMISSION >ACCESS-DATE=30 JULY 2023 ARCHIVE-URL=HTTPS://WEB.ARCHIVE.ORG/WEB/20230625183047/HTTPS://WWW.SEC.GOV/IX?DOC=/ARCHIVES/EDGAR/DATA/0001046179/000119312523107214/D428519D20F.HTM, live, | bodystyle =



factoids



}}Taiwan Semiconductor Manufacturing Company Limited (TSMC; also called Taiwan Semiconductor)WEB, Zacks Equity Research, 13 April 2021, What’s in Store for Taiwan Semiconductor’s (TSM) Q1 Earnings?,finance.yahoo.com/news/whats-store-taiwan-semiconductors-tsm-113811337.html, 2021-04-17, Yahoo! Finance, en-US, 14 October 2022,web.archive.org/web/20221014141202/https://finance.yahoo.com/news/whats-store-taiwan-semiconductors-tsm-113811337.html, live, WEB, The Value Portfolio, 16 April 2021, Taiwan Semiconductor Stock: Great Company, But Valuation Too High (NYSE:TSM),seekingalpha.com/article/4419429-taiwan-semiconductor-is-great-company-too-high-of-valuation, 2021-04-17, SeekingAlpha, en, 16 December 2021,web.archive.org/web/20211216003614/https://seekingalpha.com/article/4419429-taiwan-semiconductor-is-great-company-too-high-of-valuation, live, is a Taiwanese multinational semiconductor contract manufacturing and design company. It is the world’s second-most valuable semiconductor company,NEWS, Chiang, Sheila, 20 July 2023, TSMC reports first profit drop in 4 years as electronics demand slump continues, CNBC,www.cnbc.com/2023/07/20/tsmc-q2-earnings.html, 22 October 2023, the world’s largest dedicated independent (“pure-play“) semiconductor foundry,NEWS, Advanced Technology Key to Strong Foundry Revenue per Wafer,www.icinsights.com/news/bulletins/advanced-technology-key-to-strong-foundry-revenue-per-wafer/, 14 July 2019, IC Insights, 12 October 2018, 14 July 2019,www.icinsights.com/news/bulletins/advanced-technology-key-to-strong-foundry-revenue-per-wafer/," title="web.archive.org/web/20190714212722www.icinsights.com/news/bulletins/advanced-technology-key-to-strong-foundry-revenue-per-wafer/,">web.archive.org/web/20190714212722www.icinsights.com/news/bulletins/advanced-technology-key-to-strong-foundry-revenue-per-wafer/, live, and its country’s largest company,NEWS, 17 April 2020, Taiwan chipmaker TSMC’s earnings soar 91%, Companies & Markets News & Top Stories, The Straits Times, Bloomberg,www.straitstimes.com/business/companies-markets/taiwan-chipmaker-tsmcs-earnings-soar-91, 4 May 2020, 2 May 2020,web.archive.org/web/20200502143057/https://www.straitstimes.com/business/companies-markets/taiwan-chipmaker-tsmcs-earnings-soar-91, live, NEWS, Strong, Matthew, 24 March 2020, Taiwan chip giant TSMC wants 30,000 employees to work from home, Taiwan News,www.taiwannews.com.tw/en/news/3903344, 4 May 2020, 2 May 2020,web.archive.org/web/20200502100528/https://www.taiwannews.com.tw/en/news/3903344, live, with headquarters and main operations located in the Hsinchu Science Park in Hsinchu, Taiwan. Its majority is owned by foreign investors,NEWS, 17 March 2016, TSMC becomes safe haven for foreign investors; market cap hits high, Taiwan News, Central News Agency,www.taiwannews.com.tw/en/news/2896622, 2021-04-17, 26 March 2022,web.archive.org/web/20220326110547/https://www.taiwannews.com.tw/en/news/2896622, dead, and the central government of Taiwan is the largest shareholder.WEB,asia.nikkei.com/Companies/Taiwan-Semiconductor-Manufacturing-Co.-Ltd, Taiwan Semiconductor Manufacturing Company Limited (2330), Nikkei Asia, 14 May 2023, In 2023, the company was ranked 44th in the Forbes Global 2000.WEB, en,www.forbes.com/lists/global2000/?sh=51d599675ac0, The Global 2000 2023, Forbes, 2024-02-07, 2024-01-29,web.archive.org/web/20240129031905/https://www.forbes.com/lists/global2000/?sh=4f5ab07e5ac0, Taiwan’s exports of integrated circuits amounted to $184 billion in 2022, accounted for nearly 25 percent of Taiwan’s GDP. TSMC accounts for about 30 percent of the Taiwan Stock Exchange’s main index.NEWS, Yang, Charlotte, Taiwan Stock Index Climbs to Record High on Optimism Over AI - BNN Bloomberg,www.bnnbloomberg.ca/taiwan-stock-index-climbs-to-record-high-on-optimism-over-ai-1.2035062, 31 March 2024, BNNBloomberg, Bloomberg, 14 February 2024, WEB, April 25, 2023, Taiwan’s economic outlook: a challenging year as global semiconductor sales slump,think.ing.com/articles/economic-outlook-for-taiwan-challenging-year-semiconductor-sales-slump/, Think.ing, TSMC was founded in Taiwan in 1987 by Morris Chang as the world’s first dedicated semiconductor foundry. It has long been the leading company in its field.WEB, Company Info,www.tsmc.com/english/aboutTSMC/company_profile.htm, 20 December 2010, TSMC, 6 November 2017,www.tsmc.com/english/aboutTSMC/company_profile.htm," title="web.archive.org/web/20171106025256www.tsmc.com/english/aboutTSMC/company_profile.htm,">web.archive.org/web/20171106025256www.tsmc.com/english/aboutTSMC/company_profile.htm, live, WEB, D&R Foundry Corner – TSMC,www.design-reuse.com/foundry/technology/?prov=20, 18 May 2014, Design & Reuse, 22 May 2014,www.design-reuse.com/foundry/technology/?prov=20," title="web.archive.org/web/20140522182610www.design-reuse.com/foundry/technology/?prov=20,">web.archive.org/web/20140522182610www.design-reuse.com/foundry/technology/?prov=20, live, When Chang retired in 2018, after 31 years of TSMC leadership, Mark Liu became chairman and C. C. Wei became Chief Executive.WEB, Jennings, Ralph, How Taiwan Chipmaker TSMC Will Prosper For 5 More Years Without Its Iconic Founder,www.forbes.com/sites/ralphjennings/2018/06/10/how-taiwan-chipmaker-tsmc-will-prosper-for-5-more-years-without-its-iconic-founder/, 9 July 2020, Forbes, en, 9 July 2020,web.archive.org/web/20200709144104/https://www.forbes.com/sites/ralphjennings/2018/06/10/how-taiwan-chipmaker-tsmc-will-prosper-for-5-more-years-without-its-iconic-founder/, live, WEB, Horwitz, Josh, After spawning a $100 billion industry, Taiwan’s “godfather” of computer chips is retiring,qz.com/1294385/morris-chang-retires-from-taiwans-tsmc-as-computer-chips-godfather/, 9 July 2020, Quartz, 5 June 2018, en, 10 July 2020,web.archive.org/web/20200710233600/https://qz.com/1294385/morris-chang-retires-from-taiwans-tsmc-as-computer-chips-godfather/, live, It has been listed on the Taiwan Stock Exchange (TWSE: 2330) since 1993; in 1997 it became the first Taiwanese company to be listed on the New York Stock Exchange (NYSE: TSM). Since 1994, TSMC has had a compound annual growth rate (CAGR) of 17.4% in revenue and a CAGR of 16.1% in earnings.WEB, 11 March, Tim Phillips {{!, |last2=TSM|first2=2020 {{!}} More on|date=11 March 2020|title=Forget China Mobile. Buy This Stock to Play the 5G and AI Supercycle|url=https://www.fool.hk/en/2020/03/11/forget-china-mobile-buy-this-stock-to-play-the-5g-and-ai-supercycle/|access-date=9 July 2020|website=The Motley Fool Hong Kong|language=zh-hans|archive-date=5 August 2020|archive-url=https://web.archive.org/web/20200805074803www.fool.hk/en/2020/03/11/forget-china-mobile-buy-this-stock-to-play-the-5g-and-ai-supercycle/|url-status=dead}}Most of the leading fabless semiconductor companies such as AMD, Apple, ARM, Broadcom, Marvell, MediaTek, Qualcomm and Nvidia, are customers of TSMC, as are emerging companies such as Allwinner Technology, HiSilicon, Spectra7, and UNISOC.{{Citation |last=Abrams |first=Randy |title=Asia Semiconductor Sector (Sector Review) |series=Asia Pacific Equity Research |publisher=Credit Suisse |pages=1, 3 |date=25 November 2013}} Leading programmable logic device companies Xilinx and previously Altera also make or made use of TSMC’s foundry services.WEB, Nenni, Daniel, 25 April 2013, Morris Chang on Altera and Intel,www.semiwiki.com/forum/content/2272-morris-chang-altera-intel.html, 23 May 2014, SemiWiki, 29 August 2018,www.semiwiki.com/forum/content/2272-morris-chang-altera-intel.html," title="web.archive.org/web/20180829000254www.semiwiki.com/forum/content/2272-morris-chang-altera-intel.html,">web.archive.org/web/20180829000254www.semiwiki.com/forum/content/2272-morris-chang-altera-intel.html, live, Some integrated device manufacturers that have their own fabrication facilities, such as Intel, NXP, STMicroelectronics and Texas Instruments, outsource some of their production to TSMC.NEWS,www.oregonlive.com/business/index.ssf/2009/03/intel_outsourcing_some_atom_ma.html, Intel Outsourcing Some Atom Manufacturing to TSMC, The Oregonian, 2 March 2009, 15 October 2010, 23 December 2017,www.oregonlive.com/business/index.ssf/2009/03/intel_outsourcing_some_atom_ma.html," title="web.archive.org/web/20171223215800www.oregonlive.com/business/index.ssf/2009/03/intel_outsourcing_some_atom_ma.html,">web.archive.org/web/20171223215800www.oregonlive.com/business/index.ssf/2009/03/intel_outsourcing_some_atom_ma.html, live, NEWS, Loukil, Ridha,www.usinenouvelle.com/article/stmicroelectronics-envisage-la-creation-de-deux-usines-de-puces-avec-l-aide-des-etats-francais-et-italien.N597638, STMicroelectronics envisage la création de deux usines de puces avec l’aide des Etats français et italien, fr, Ousine Nouvelle, 9 October 2017, 2 February 2018, 14 September 2018,web.archive.org/web/20180914132223/https://www.usinenouvelle.com/article/stmicroelectronics-envisage-la-creation-de-deux-usines-de-puces-avec-l-aide-des-etats-francais-et-italien.N597638, live, At least one semiconductor company, LSI, re-sells TSMC wafers through its ASIC design services and design IP portfolio.{{dubious|date=June 2022|reason=Firstly, needs a citation (it’s not mentioned other than in the lede). Secondly, Broadcom, which bought LSI, divested many of its divisions, so the fate of ASIC design services is unclear.}}TSMC has a global capacity of about thirteen million 300 mm-equivalent wafers per year as of 2020 and makes chips for customers with process nodes from 2 microns to 3 nanometres. TSMC was the first foundry to market 7-nanometre and 5-nanometre (used by the 2020 Apple A14 and M1 SoCs, the MediaTek Dimensity 8100, and AMD Ryzen 7000 series processors) production capabilities, and the first to commercialize ASML’s extreme ultraviolet (EUV) lithography technology in high volume.

History

In 1986, Li Kwoh-ting, representing the Executive Yuan, invited Morris Chang to serve as the president of the Industrial Technology Research Institute (ITRI) and offered him a blank check to build Taiwan’s chip industry. At that time, the Taiwanese government wanted to develop its semiconductor industry, but its high investment and high risk nature made it difficult to find investors. Texas Instruments and Intel turned down Chang. Only Philips was willing to sign a joint venture contract with Taiwan to put up $58 million, transfer its production technology, and license intellectual property in exchange for a 27.5 percent stake in TSMC. Alongside generous tax benefits, the Taiwanese government, through the National Development Fund, Executive Yuan, provided another 48 percent of the startup capital for TSMC, and the rest of the capital was raised from several of the island’s wealthiest families, who owned firms that specialized in plastics, textiles, and chemicals. These wealthy Taiwanese were directly “asked” by the government to invest. “What generally happened was that one of the ministers in the government would call a businessman in Taiwan,” Chang explained, “to get him to invest.” From day one, TSMC was not really a private business: it was a project of the Taiwanese state.BOOK, Miller, Chris, Chip War: The Fight for the World’s Most Critical Technology, 2022, Charles Scribner’s Sons, Scribner, 978-1982172008, 167,books.google.com/books?id=JxpdEAAAQBAJ&pg=PA167, 16 July 2023, NEWS, 猶太人與台積電的奇特淵源,www.upmedia.mg/news_info.php?Type=2&SerialNo=106657, 30 January 2023, www.upmedia.mg, 上報, 16 February 2021, zh-tw, 30 January 2023,web.archive.org/web/20230130153324/https://www.upmedia.mg/news_info.php?Type=2&SerialNo=106657, live, NEWS, 與飛利浦數度交手,www.cw.com.tw/article/5107898, 30 January 2023, CommonWealth Magazine, 1 April 1997, zh-tw, 14 March 2023,web.archive.org/web/20230314101653/https://www.cw.com.tw/article/5107898, live, Its first CEO was James E. Dykes, who left after a year and Morris Chang became the CEO.WEB, Nenni, Daniel, 26 November 2023, Taiwan Semiconductor Outlook May 1988,semiwiki.com/semiconductor-manufacturers/304631-taiwan-semiconductor-outlook-may-1988/, 2023-11-25, Semiwiki, en-US, Since then, the company has continued to grow, albeit subject to the cycles of demand. In 2011, the company planned to increase research and development expenditures by almost 39% to NT$50 billion to fend off growing competition.NEWS,www.taipeitimes.com/News/biz/archives/2010/12/21/2003491466, TSMC plans to increase research spending, Taipei Times, Lisa, Wang, 21 December 2010, 20 December 2010, 24 March 2019,www.taipeitimes.com/News/biz/archives/2010/12/21/2003491466," title="web.archive.org/web/20190324195607www.taipeitimes.com/News/biz/archives/2010/12/21/2003491466,">web.archive.org/web/20190324195607www.taipeitimes.com/News/biz/archives/2010/12/21/2003491466, live, The company also planned to expand capacity by 30% in 2011 to meet strong market demand.NEWS,www.chinaknowledge.com/Newswires/News_Detail.aspx?type=1&cat=CMP&NewsID=%2039307, TSMC to expand capacity by 30% in 2011, China Knowledge, 8 December 2010, 20 December 2010, 8 July 2011,www.chinaknowledge.com/Newswires/News_Detail.aspx?type=1&cat=CMP&NewsID=%2039307," title="web.archive.org/web/20110708145524www.chinaknowledge.com/Newswires/News_Detail.aspx?type=1&cat=CMP&NewsID=%2039307,">web.archive.org/web/20110708145524www.chinaknowledge.com/Newswires/News_Detail.aspx?type=1&cat=CMP&NewsID=%2039307, live, In May 2014, TSMC’s board of directors approved capital appropriations of US$568 million to increase and improve manufacturing capabilities after the company forecast higher than expected demand.NEWS,www.taipeitimes.com/News/biz/archives/2014/04/18/2003588265, TSMC forecasts 22 percent Q2 growth, Taipei Times, 18 April 2014, 18 May 2014, 22 May 2014,www.taipeitimes.com/News/biz/archives/2014/04/18/2003588265," title="web.archive.org/web/20140522183351www.taipeitimes.com/News/biz/archives/2014/04/18/2003588265,">web.archive.org/web/20140522183351www.taipeitimes.com/News/biz/archives/2014/04/18/2003588265, live, In August 2014, TSMC’s board of directors approved additional capital appropriations of US$3.05 billion.WEB, 12 August 2014, TSMC Board of Directors Meeting Resolutions,pr.tsmc.com/english/news/1820, TSMC, 17 April 2021, 16 December 2021,web.archive.org/web/20211216003616/https://pr.tsmc.com/english/news/1820, live, In 2011, it was reported that TSMC had begun trial production of the A5 SoC and A6 SoCs for Apple’s iPad and iPhone devices.WEB, 12 August 2011, TSMC Kicks Off A6 Processor Trial Production with Apple,cens.com/cens/html/en/news/news_inner_37282.html, live,cens.com/cens/html/en/news/news_inner_37282.html," title="web.archive.org/web/20110927000244cens.com/cens/html/en/news/news_inner_37282.html,">web.archive.org/web/20110927000244cens.com/cens/html/en/news/news_inner_37282.html, 27 September 2011, 13 September 2011, Chinese Economic News Service, WEB, Velazco, Chris, 12 August 2011, TSMC Beginning Production Of Apple’s New A6 Processor,techcrunch.com/2011/08/12/tsmc-beginning-production-of-apples-new-a6-processor/, TechCrunch, 25 June 2017, 23 September 2017,web.archive.org/web/20170923002524/https://techcrunch.com/2011/08/12/tsmc-beginning-production-of-apples-new-a6-processor/, live, According to reports,WEB, Oliver, Sam, 10 July 2014, Apple begins receiving shipments of A-series processors from TSMC – report,appleinsider.com/articles/14/07/10/apple-begins-receiving-shipments-of-a-series-processors-from-tsmc---report, 2 November 2014, AppleInsider, 2 November 2014,appleinsider.com/articles/14/07/10/apple-begins-receiving-shipments-of-a-series-processors-from-tsmc---report," title="web.archive.org/web/20141102142327appleinsider.com/articles/14/07/10/apple-begins-receiving-shipments-of-a-series-processors-from-tsmc---report,">web.archive.org/web/20141102142327appleinsider.com/articles/14/07/10/apple-begins-receiving-shipments-of-a-series-processors-from-tsmc---report, live, in May 2014 Apple sourced its A8 and A8X SoCs from TSMC.WEB, 17 February 2014, TSMC to Snatch All of Apple’s A8 chip Orders?,technews.co/2014/02/17/tsmc-to-snatch-all-of-apples-a8-chip-orders/,technews.co/2014/02/17/tsmc-to-snatch-all-of-apples-a8-chip-orders/," title="web.archive.org/web/20190324195602technews.co/2014/02/17/tsmc-to-snatch-all-of-apples-a8-chip-orders/,">web.archive.org/web/20190324195602technews.co/2014/02/17/tsmc-to-snatch-all-of-apples-a8-chip-orders/, 24 March 2019, 18 May 2014, TechNews, WEB, Crothers, Brooke, 17 April 2014, Apple driving move to 64-bit mobile processors, TSMC says,www.cnet.com/news/apple-driving-move-to-64-bit-mobile-processors-says-tsmc/, 23 May 2014, CNET, 21 May 2014,www.cnet.com/news/apple-driving-move-to-64-bit-mobile-processors-says-tsmc/," title="web.archive.org/web/20140521205649www.cnet.com/news/apple-driving-move-to-64-bit-mobile-processors-says-tsmc/,">web.archive.org/web/20140521205649www.cnet.com/news/apple-driving-move-to-64-bit-mobile-processors-says-tsmc/, live, Apple then sourced the A9 SoC with both TSMC and Samsung (to increase volume for iPhone 6S launch) and the A9X exclusively with TSMC, thus resolving the issue of sourcing a chip in two different microarchitecture sizes. As of 2014, Apple was TSMC’s most important customer.NEWS, 15 April 2014, Apple chips to be 20% of TSMC sales, Taipei Times,www.taipeitimes.com/News/biz/archives/2014/04/15/2003588037, 18 May 2014, 22 December 2017,www.taipeitimes.com/News/biz/archives/2014/04/15/2003588037," title="web.archive.org/web/20171222105135www.taipeitimes.com/News/biz/archives/2014/04/15/2003588037,">web.archive.org/web/20171222105135www.taipeitimes.com/News/biz/archives/2014/04/15/2003588037, live, In October 2014, ARM and TSMC announced a new multi-year agreement for the development of ARM based 10 nm FinFET processors.WEB, Collins, Mark, 8 October 2014, ARM Partnered With TSMC For 10nm FinFET Processors,gsminsider.com/2014/10/arm-partnered-tsmc-10nm-finfet-processors/, dead,gsminsider.com/2014/10/arm-partnered-tsmc-10nm-finfet-processors/," title="web.archive.org/web/20141013181149gsminsider.com/2014/10/arm-partnered-tsmc-10nm-finfet-processors/,">web.archive.org/web/20141013181149gsminsider.com/2014/10/arm-partnered-tsmc-10nm-finfet-processors/, 13 October 2014, GSM Insider, In 2020, TSMC became the first semiconductor company in the world to sign up for the RE100 initiative, pledging to use 100% renewable energy by 2050.NEWS, TSMC Leads Rush for Renewables Ahead of Taiwan Energy Vote,www.bloomberg.com/news/articles/2021-12-08/tsmc-leads-rush-for-renewables-ahead-of-taiwan-energy-vote, 2021-12-19, Bloomberg.com, 8 December 2021, 7 February 2022,web.archive.org/web/20220207173304/https://www.bloomberg.com/news/articles/2021-12-08/tsmc-leads-rush-for-renewables-ahead-of-taiwan-energy-vote, live, TSMC accounts for roughly 5% of the energy consumption in Taiwan, even exceeding that of the capital city Taipei. This initiative was thus expected to accelerate the transformation to renewable energy in the country.WEB, 17 July 2020, TSMC’s push toward green energy – Taipei Times,www.taipeitimes.com/News/editorials/archives/2020/07/17/2003740051, 2021-12-19, www.taipeitimes.com, 19 December 2021,web.archive.org/web/20211219172953/https://www.taipeitimes.com/News/editorials/archives/2020/07/17/2003740051, live, For 2020, TSMC had a net income of US$17.60 billion on a consolidated revenue of US$45.51 billion, an increase of 57.5% and 31.4% respectively from the 2019 level of US$11.18 billion net income and US$34.63 billion consolidated revenue.WEB, Annual Reports,investor.tsmc.com/english/annual-reports, 2021-05-01, TSMC, en, 20 April 2021,web.archive.org/web/20210420043720/https://investor.tsmc.com/english/annual-reports, live, Its market capitalization was over $550 billion{{Clarify|reason=Which currency|date=April 2023}} in April 2021.{{Citation needed|date=April 2023}} TSMC’s revenue in the first quarter of 2020 reached US$10 billion,WEB, Lee, Yimou, TSMC Q1 profit almost doubles but trims full-year revenue estimate on pandemic, U.S., 16 April 2020,www.reuters.com/article/us-tsmc-results-idUSKCN21Y0IN, 15 May 2020, 17 May 2020,web.archive.org/web/20200517023902/https://www.reuters.com/article/us-tsmc-results-idUSKCN21Y0IN, live, while its market capitalization was US$254 billion.WEB, Taiwan Semiconductor Manufacturer (TSM) Stock Price, Quote, History & News – Yahoo Finance,finance.yahoo.com/quote/TSM, 15 May 2020, Finance.yahoo.com, 28 May 2020,web.archive.org/web/20200528213313/https://finance.yahoo.com/quote/TSM/, live, TSMC’s market capitalization reached a value of NT$1.9 trillion (US$63.4 billion) in December 2010.NEWS,business.asiaone.com/Business/News/Story/A1Story20101221-253866.html, Market capitalization of TSMC reaches a historic high: CEO, AsiaOne Business, 21 December 2010, 20 December 2010,business.asiaone.com/Business/News/Story/A1Story20101221-253866.html," title="web.archive.org/web/20110728182630business.asiaone.com/Business/News/Story/A1Story20101221-253866.html,">web.archive.org/web/20110728182630business.asiaone.com/Business/News/Story/A1Story20101221-253866.html, 28 July 2011, dead, It was ranked 70th in the FT Global 500 2013 list of the world’s most highly valued companies with a capitalization of US$86.7 billion,NEWS,www.ft.com/intl/indepth/ft500,www.ft.com/intl/indepth/ft500," title="ghostarchive.org/archive/20221210www.ft.com/intl/indepth/ft500,">ghostarchive.org/archive/20221210www.ft.com/intl/indepth/ft500, 10 December 2022, subscription, FT 500 2013, Financial Times, 18 May 2014, while reaching US$110 billion in May 2014. In March 2017, TSMC’s market capitalization surpassed that of semiconductor giant Intel for the first time, hitting NT$5.14 trillion (US$168.4 billion), with Intel’s at US$165.7 billion.NEWS,www.bloomberg.com/gadfly/articles/2017-03-22/chipzilla-intel-toppled-by-taiwan-s-supplier-to-the-stars, Chipzilla Got Toppled, Culpan, Tim, 22 March 2017, Bloomberg Gadfly, 22 March 2017, 22 March 2017,web.archive.org/web/20170322071356/https://www.bloomberg.com/gadfly/articles/2017-03-22/chipzilla-intel-toppled-by-taiwan-s-supplier-to-the-stars, live, On 27 June 2020, TSMC briefly became the world’s 10th most valuable company, with a market capitalization of US$410 billion.NEWS, Wu, Debby, 28 July 2020, TSMC Pares Gains After $72 Billion Surge to Start the Week, en, Bloomberg,www.bloomberg.com/news/articles/2020-07-28/tsmc-among-world-s-top-10-biggest-stocks-after-72-billion-surge, 17 April 2021, 17 April 2021,web.archive.org/web/20210417120954/https://www.bloomberg.com/news/articles/2020-07-28/tsmc-among-world-s-top-10-biggest-stocks-after-72-billion-surge, live, As the risk of a war between Taiwan and the People’s Republic of China increases, TSMC and its investors have explored options to mitigate the consequences of such an event. Since the beginning of the 2020s, TSMC has expanded its operations outside of the island of Taiwan, opening new fabs in Japan and the United States, with further plans for expansion into Germany.WEB, 21 February 2022, China War Risk Sees Taiwan’s TSMC Moving Fabs to US, Japan,www.asiafinancial.com/china-risk-sees-taiwans-tsmc-moving-chip-fabs-overseas, Asia Financial, 27 May 2022, 19 May 2022,web.archive.org/web/20220519212156/https://www.asiafinancial.com/china-risk-sees-taiwans-tsmc-moving-chip-fabs-overseas, live, In July 2020, TSMC confirmed it would halt the shipment of silicon wafers to Chinese telecommunications equipment manufacturer Huawei and its subsidiary HiSilicon by 14 September.WEB, TSMC plans to halt chip supplies to Huawei in 2 months,asia.nikkei.com/Spotlight/Huawei-crackdown/TSMC-plans-to-halt-chip-supplies-to-Huawei-in-2-months, 2020-08-09, Nikkei Asian Review, en-GB, 8 August 2020,web.archive.org/web/20200808203753/https://asia.nikkei.com/Spotlight/Huawei-crackdown/TSMC-plans-to-halt-chip-supplies-to-Huawei-in-2-months, live, WEB, Frumusanu, Andrei, TSMC Confirms Halt to Huawei Shipments In September,www.anandtech.com/show/15915/tsmc-confirms-halt-to-huawei-shipments-in-september, 2020-08-09, www.anandtech.com, 8 August 2020,www4.anandtech.com/show/15915/tsmc-confirms-halt-to-huawei-shipments-in-september," title="web.archive.org/web/20200808183636www4.anandtech.com/show/15915/tsmc-confirms-halt-to-huawei-shipments-in-september,">web.archive.org/web/20200808183636www4.anandtech.com/show/15915/tsmc-confirms-halt-to-huawei-shipments-in-september, live, In November 2020, officials in Phoenix, Arizona in the United States approved TSMC’s plan to build a $12 billion chip plant in the city. The decision to locate a plant in the US came after the Trump administration warned about the issues concerning the world’s electronics made outside of the U.S.NEWS, Wu, Debby, King, Ian, 19 November 2020, TSMC Wins Approval From Phoenix for $12 Billion Chip Plant, en, Bloomberg,www.bloomberg.com/news/articles/2020-11-19/tsmc-wins-approval-from-phoenix-for-12-billion-chip-plant, 2 March 2021, 27 February 2021,web.archive.org/web/20210227092258/https://www.bloomberg.com/news/articles/2020-11-19/tsmc-wins-approval-from-phoenix-for-12-billion-chip-plant, live, In 2021, news reports claimed that the facility might be tripled to roughly a $35 billion investment with six factories.NEWS, Vanek, Corina, 2 March 2021, Taiwan Semiconductor’s Phoenix plant likely three times larger than originally announced, en-us, Phoenix Business Journal,www.bizjournals.com/phoenix/news/2021/03/02/taiwan-semiconductor-phoenix-plant-35-billion.html, 2021-05-01, 14 May 2021,web.archive.org/web/20210514174609/https://www.bizjournals.com/phoenix/news/2021/03/02/taiwan-semiconductor-phoenix-plant-35-billion.html, live, See {{Slink|2=Arizona}} for more details.In June 2021, following nearly a year of public controversy surrounding its COVID-19 vaccine shortage,NEWS, Blanchard, Ben, Pressure to accept China vaccines intensifies as Taiwan battles COVID surge,www.reuters.com/world/asia-pacific/pressure-accept-china-vaccines-intensifies-taiwan-battles-covid-surge-2021-05-24/, 12 July 2021, Reuters, 24 May 2021, 12 July 2021,web.archive.org/web/20210712152303/https://www.reuters.com/world/asia-pacific/pressure-accept-china-vaccines-intensifies-taiwan-battles-covid-surge-2021-05-24/, live, NEWS, Zhong, Raymond, Taiwan Wants German Vaccines. China May Be Standing in Its Way.,www.nytimes.com/2021/06/16/business/taiwan-china-biontech-vaccine.html, 12 July 2021, The New York Times, 16 June 2021, 12 July 2021,web.archive.org/web/20210712152619/https://www.nytimes.com/2021/06/16/business/taiwan-china-biontech-vaccine.html, live, with only about 10% of its 23.5 million population vaccinated; Taiwan agreed to allow TSMC and Foxconn to jointly negotiate purchasing COVID-19 vaccines on its behalf.NEWS, Hille, Kathrin, TSMC and Foxconn join forces to secure vaccines for Taiwan,www.ft.com/content/a5b8cf73-0d46-4ed8-a75f-69902b8a951c,ghostarchive.org/archive/20221210/https://www.ft.com/content/a5b8cf73-0d46-4ed8-a75f-69902b8a951c, 10 December 2022, subscription, 12 July 2021, Financial Times, 11 July 2012, NEWS, Blanchard, Ben, Taiwan finally getting BioNTech COVID vaccines in $350 mln deal,www.reuters.com/world/asia-pacific/taiwans-foxconn-tsmc-confirm-350-mln-covid-19-vaccine-deal-2021-07-11/, 12 July 2021, Reuters, 12 July 2021, 12 July 2021,web.archive.org/web/20210712001324/https://www.reuters.com/world/asia-pacific/taiwans-foxconn-tsmc-confirm-350-mln-covid-19-vaccine-deal-2021-07-11/, live, In July 2021, BioNTech’s Chinese sales agent Fosun Pharma announced that the two technology manufacturers had reached an agreement to purchase 10 million BioNTech COVID-19 vaccines from Germany. TSMC and Foxconn pledged to each buy five million doses for up to $175 million, for donation to Taiwan’s vaccination program.Due to the 2020–2023 global semiconductor shortage, Taiwanese competitor United Microelectronics raised prices approximately 7–9 percent, and prices for TSMC’s more mature processors will be raised by about 20 percent.NEWS, Chang, Eric,www.taiwannews.com.tw/en/news/4276997, Taiwan’s TSMC increases chip prices by up to 20% amid global shortage, Taiwan News, 26 August 2021, 2021-08-29, 29 August 2021,web.archive.org/web/20210829211905/https://www.taiwannews.com.tw/en/news/4276997, live, In November 2021, TSMC and Sony announced that TSMC would be establishing a new subsidiary named {{Ill|Japan Advanced Semiconductor Manufacturing|ja|Japan Advanced Semiconductor Manufacturing|zh|日本先進半導體製造}} (JASM) in Kumamoto, Japan. The new subsidiary will manufacture 22- and 28-nanometer processes. The initial investment will be approximately $7 billion, with Sony investing approximately $500 million for a less than 20% stake. Construction of the fabrication plant is expected to start in 2022, with production targeted to begin two years later in 2024.PRESS RELEASE,www.sony-semicon.co.jp/e/news/2021/2021110901.html, TSMC to Build Specialty Technology Fab in Japan with Sony Semiconductor Solutions as Minority Shareholder, 9 November 2021, 9 November 2021, 5 December 2021,web.archive.org/web/20211205040331/https://www.sony-semicon.co.jp/e/news/2021/2021110901.html, live, WEB,www.reuters.com/technology/sony-invest-500-mln-tsmcs-new-chip-unit-japan-2021-11-09/, Sony to invest $500 mln in TSMC’s new Japanese chip plant venture, Reuters, 9 November 2021, 9 November 2021, 16 January 2023,web.archive.org/web/20230116222851/https://www.reuters.com/technology/sony-invest-500-mln-tsmcs-new-chip-unit-japan-2021-11-09/, live, In February 2022, TSMC, Sony Semiconductor Solutions, and Denso announced that Denso would take a more than 10% equity stake in JASM with a US$0.35 billion investment, amid a scarcity of chips for automobiles.WEB, 16 February 2022, Denso to take stake in TSMC venture – Taipei Times,www.taipeitimes.com/News/biz/archives/2022/02/16/2003773182, 2023-01-22, www.taipeitimes.com, 22 January 2023,web.archive.org/web/20230122171524/https://www.taipeitimes.com/News/biz/archives/2022/02/16/2003773182, live, WEB, 15 February 2022, DENSO to Take Minority Stake in JASM,pr.tsmc.com/english/news/2911, 2023-01-23, TSMC, WEB, 15 February 2022, TSMC to Expand New Japan Chip Factory, Denso Takes Stake,www.usnews.com/news/technology/articles/2022-02-15/japans-denso-to-invest-in-tsmc-chip-factory-in-japan, 2023-01-23, U.S. News & World Report, 22 January 2023,web.archive.org/web/20230122171525/https://www.usnews.com/news/technology/articles/2022-02-15/japans-denso-to-invest-in-tsmc-chip-factory-in-japan, live, TSMC will also enhance JASM’s capabilities with 12/16 nanometer FinFET process technology in addition to the previously announced 22/28 nanometer process and increase monthly production capacity from 45,000 to 55,000 12-inch wafers. The total capital expenditure for JASM’s Kumamoto fab is estimated to be approximately US$8.6 billion. The Japanese government wants JASM to supply essential chips to Japan’s electronic device makers and auto companies as trade friction between the United States and China threatens to disrupt supply chains. The fab is expected to directly create about 1,700 high-tech professional jobs.In July 2022, TSMC announced the company had posted a record profit in the second quarter, with net income up 76.4 percent year-over-year. The company saw steady growth in the automotive and data center sectors with some weakness in the consumer market. Some of the capital expenditures are projected to be pushed up to 2023.NEWS, Kharpal, Arjun,www.cnbc.com/2022/07/14/tsmc-q2-2022-chipmaker-posts-record-profit-and-strong-guidance.html, World’s largest chipmaker TSMC posts record profit allaying fears over semiconductor headwinds, CNBC, 14 July 2022, 2022-07-14, 14 July 2022,web.archive.org/web/20220714201049/https://www.cnbc.com/2022/07/14/tsmc-q2-2022-chipmaker-posts-record-profit-and-strong-guidance.html, live, In the third quarter of 2022, Berkshire Hathaway disclosed purchase of 60 million shares in TSMC, acquiring a $4.1 billion stake, making it one of its largest holdings in a technology company.WEB,www.ft.com/content/6d4bb1f4-270a-46bd-8069-81148b636647,ghostarchive.org/archive/20221210/https://www.ft.com/content/6d4bb1f4-270a-46bd-8069-81148b636647, 10 December 2022, Warren Buffett’s Berkshire Hathaway buys $4bn stake in chipmaker TSMC, 15 November 2022, Platt, Eric, Financial Times, limited, However, Berkshire sold off 86.2% of its stake by the next quarter citing geopolitical tensions as a factor.NEWS, Mandl, Carolina, S, Sittarasu, 14 February 2023, Berkshire dumps shares in TSMC, banks; increases Apple stake,www.reuters.com/business/buffetts-berkshire-reduces-stake-activision-blizzard-2023-02-14/, Reuters, 16 February 2023, 16 February 2023,web.archive.org/web/20230216040016/https://www.reuters.com/business/buffetts-berkshire-reduces-stake-activision-blizzard-2023-02-14/, live, NEWS, Stempel, Jonathan, 11 April 2023, Buffett says geopolitics a factor in Berkshire sale of TSMC stake,www.reuters.com/technology/buffett-says-geopolitics-factor-berkshire-sale-tsmc-stake-2023-04-11/, Reuters, 12 April 2023, 12 April 2023,web.archive.org/web/20230412042234/https://www.reuters.com/technology/buffett-says-geopolitics-factor-berkshire-sale-tsmc-stake-2023-04-11/, live, In February 2024, TSMC shares hit a record high, with the high on the trading day reaching NT$709 and closing at NT$697 (+8%). This was influenced by the increase in the price target on chip designer Nvidia. TSMC currently manufactures 3-nanometer chips and plans to start 2-nanometer mass production in 2025.WEB, en,www.cnbc.com/2024/02/15/tsmc-shares-hit-record-high-after-morgan-stanley-upgrades-nvidia.html, TSMC shares hit record high after Morgan Stanley lifts client Nvidia’s price target on AI chip demand, CNBC, 15 February 2024, 2024-02-25, 2024-02-23,web.archive.org/web/20240223063328/https://www.cnbc.com/2024/02/15/tsmc-shares-hit-record-high-after-morgan-stanley-upgrades-nvidia.html,

Patent dispute with GlobalFoundries

On 26 August 2019, GlobalFoundries filed several patent infringement lawsuits against TSMC in the US and Germany claiming that TSMC’s 7 nm, 10 nm, 12 nm, 16 nm, and 28 nm nodes infringed 16 of their patents.WEB, 26 August 2019, GLOBALFOUNDRIES Files Patent Infringement Lawsuits Against TSMC In the U.S. and Germany,www.globalfoundries.com/press-release/globalfoundries-files-patent-infringement-lawsuits-against-tsmc-us-and-germany, GlobalFoundries, en, 17 April 2021, 17 April 2021,web.archive.org/web/20210417120955/https://www.globalfoundries.com/press-release/globalfoundries-files-patent-infringement-lawsuits-against-tsmc-us-and-germany, live, GlobalFoundries named twenty defendants.WEB, 25 August 2019, GLOBALFOUNDRIES v. TSMC et al Media Fact Sheet,www.globalfoundries.com/sites/default/files/media_fact_sheet.pdf, GlobalFoundries, 28 August 2019, 28 August 2019,web.archive.org/web/20190828062714/https://www.globalfoundries.com/sites/default/files/media_fact_sheet.pdf, live, TSMC said that they were confident that the allegations were baseless.WEB, 27 August 2017, TSMC Will Vigorously Defend its Proprietary Technology in Response to GlobalFoundries Complaints,www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&language=E&newsid=THPGSTTHTH, 28 August 2019, TSMC, 27 August 2019,web.archive.org/web/20190827210152/https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&language=E&newsid=THPGSTTHTH, live, On 1 October 2019, TSMC filed patent infringement lawsuits against GlobalFoundries in the US, Germany and Singapore, claiming that GlobalFoundries’ 12 nm, 14 nm, 22 nm, 28 nm and 40 nm nodes infringed 25 of their patents.WEB, 1 October 2019, TSMC Files Complaints Against GlobalFoundries in U.S., Germany and Singapore for Infringement of 25 Patents to Affirm its Technology Leadership and to Protect Its Customers and Consumers Worldwide,www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&newsid=THGOSTTHTH, 2 October 2019, TSMC, 2 October 2019,web.archive.org/web/20191002040547/https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do%3Faction%3Ddetail%26newsid%3DTHGOSTTHTH, live, On 29 October 2019, TSMC and GlobalFoundries announced a resolution to the dispute, agreeing to a life-of-patents cross-license for all of their existing semiconductor patents and new patents for the next 10 years.WEB, 29 October 2019, TSMC and GLOBALFOUNDRIES Announce Resolution of Global Disputes Through Broad Global Patent Cross-License,www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&language=E&newsid=THHKHIPGTH, 29 October 2019, TSMC, 29 October 2019,web.archive.org/web/20191029014342/https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do%3Faction%3Ddetail%26language%3DE%26newsid%3DTHHKHIPGTH, live, WEB, 28 October 2019, GLOBALFOUNDRIES and TSMC Announce Resolution of Global Disputes Through Broad Global Patent Cross-License,www.globalfoundries.com/press-release/globalfoundries-and-tsmc-announce-resolution-global-disputes-through-broad-global, 30 October 2019, GlobalFoundries, en, 22 January 2021,web.archive.org/web/20210122162629/https://www.globalfoundries.com/press-release/globalfoundries-and-tsmc-announce-resolution-global-disputes-through-broad-global, live, NEWS, McGregor, Jim, 11 September 2019, Globalfoundries Files Suit Against TSMC – The Outcome Could Have Broad Consequences, Forbes,www.forbes.com/sites/tiriasresearch/2019/09/11/globalfoundries-files-suit-against-tsmc--the-outcome-could-have-broad-consequences/, 19 December 2019, 19 December 2019,web.archive.org/web/20191219072516/https://www.forbes.com/sites/tiriasresearch/2019/09/11/globalfoundries-files-suit-against-tsmc--the-outcome-could-have-broad-consequences/, live, NEWS, 1 October 2019, TSMC counter-sues US chip rival GlobalFoundries for patent infringement, Reuters,www.reuters.com/article/db-tsmc-globalfoundries-lawsuit-test-idUSKBN1WG3B5, 19 December 2019, 19 December 2019,web.archive.org/web/20191219072514/https://www.reuters.com/article/db-tsmc-globalfoundries-lawsuit-test-idUSKBN1WG3B5, live, NEWS, Kwan, Campbell, 2 October 2019, TSMC accuses GlobalFoundries of infringing 25 patents for node processes, ZDNet,www.zdnet.com/article/tsmc-accuses-globalfoundries-of-infringing-25-patents-for-node-processes/, 4 April 2020, 9 March 2020,web.archive.org/web/20200309222209/https://www.zdnet.com/article/tsmc-accuses-globalfoundries-of-infringing-25-patents-for-node-processes/, live,

Sales and market trends

{{recentism|date=January 2022}}{| class=“wikitable”www.digitimes.com/finance/company.asp?cid=32," title="web.archive.org/web/20140517183332www.digitimes.com/finance/company.asp?cid=32,">web.archive.org/web/20140517183332www.digitimes.com/finance/company.asp?cid=32, live, ! 1997 !! 1998 !! 1999 !! 2000 !! 2001 !! 2002 !! 2003 !! 2004 !! 2005 !! 2006| 317,407! 2007 !! 2008 !! 2009 !! 2010 !!2011 !! 2012 !! 2013 !! 2014 !! 2015 !! 2016| 947,938! 2017 !! 2018 !! 2019 !! 2020 !! 2021 !! 2022 !! 2023| 2,161,735{| class=“wikitable” style="float:right”www.tsmc.com/english/investorRelations/quarterly_results.htm, TSMC, 17 April 2017, 17 April 2017,www.tsmc.com/english/investorRelations/quarterly_results.htm," title="web.archive.org/web/20170417160717www.tsmc.com/english/investorRelations/quarterly_results.htm,">web.archive.org/web/20170417160717www.tsmc.com/english/investorRelations/quarterly_results.htm, live, ! Year !! Q1 !! Q2 !! Q3 !! Q4! 2012| 131,445! 2013| 145,806! 2014| 222,520! 2015| 203,518! 2016| 262,227! 2017| 277,570! 2018| 289,771! 2019| 317,237! 2020| 361,533! 2021| 438,190! 2022| 625,532! 2023| 625,529! 2023| TSMC and the rest of the foundry industry are exposed to the cyclical industrial dynamics of the semiconductor industry. TSMC must ensure its production capacity to meet strong customer demand during upturns. However, during downturns, it must contend with excess capacity because of weak demand and the high fixed costs associated with its manufacturing facilities.WEB,analysisreport.morningstar.com/stock/research?t=TSM&culture=en-US&cur=USD&productcode=MLE, Mobile chips are driving strong demand for TSMC’s manufacturing services, Morningstar, 9 May 2014, 18 May 2014, 28 April 2015,analysisreport.morningstar.com/stock/research?t=TSM&culture=en-US&cur=USD&productcode=MLE," title="web.archive.org/web/20150428143055analysisreport.morningstar.com/stock/research?t=TSM&culture=en-US&cur=USD&productcode=MLE,">web.archive.org/web/20150428143055analysisreport.morningstar.com/stock/research?t=TSM&culture=en-US&cur=USD&productcode=MLE, dead, As a result, the company’s financial results tend to fluctuate with a cycle time of a few years. This is more apparent in earnings than revenues because of the general trend of revenue and capacity growth. TSMC’s business has generally also been seasonal, with a peak in Q3 and a low in Q1.In 2014, TSMC was at the forefront of the foundry industry for high-performance, low-power applications,WEB,www.eetimes.com/document.asp?doc_id=1321989, Smartphones, 28nm Tech Drive TSMC 1Q Revenue, 17 April 2014, EE Times, 23 May 2014, 23 May 2014,www.eetimes.com/document.asp?doc_id=1321989," title="web.archive.org/web/20140523225507www.eetimes.com/document.asp?doc_id=1321989,">web.archive.org/web/20140523225507www.eetimes.com/document.asp?doc_id=1321989, live, NEWS,www.ddrtester.com/page/news/shownews.asp?num=16016, Qualcomm pending to add SMIC to 28nm vendor list, 14 March 2014, 18 May 2014, SimmTester.com, 22 May 2014,www.ddrtester.com/page/news/shownews.asp?num=16016," title="web.archive.org/web/20140522182615www.ddrtester.com/page/news/shownews.asp?num=16016,">web.archive.org/web/20140522182615www.ddrtester.com/page/news/shownews.asp?num=16016, live, leading major smartphone chip companies such as Qualcomm,WEB,www.prnewswire.com/news-releases/qualcomm-snapdragon-800-processor-first-to-use-tsmcs-28hpm-advanced-process-technology-192959191.html, Qualcomm Snapdragon 800 Processor First to Use TSMC’s 28HPM Advanced Process Technology, TSMC, 25 February 2013, 18 May 2014, NEWS, Wang, Lisa, 6 June 2013, TSMC banking on 28nm shipments, Taipei Times,www.taipeitimes.com/News/biz/archives/2013/06/06/2003564057, 18 May 2014, 22 May 2014,www.taipeitimes.com/News/biz/archives/2013/06/06/2003564057," title="web.archive.org/web/20140522175211www.taipeitimes.com/News/biz/archives/2013/06/06/2003564057,">web.archive.org/web/20140522175211www.taipeitimes.com/News/biz/archives/2013/06/06/2003564057, live, MediatekNEWS, Josephine, Lien, Shen, Steve, 6 May 2014, MediaTek adding more 28nm chip orders at TSMC, UMC due to production defects at Globalfoundries, DigiTimes,www.digitimes.com/news/a20140506PD212.html, subscription, 18 May 2014, 20 August 2020,www.digitimes.com/news/a20140506PD212.html," title="web.archive.org/web/20200820135731www.digitimes.com/news/a20140506PD212.html,">web.archive.org/web/20200820135731www.digitimes.com/news/a20140506PD212.html, live, and Apple to place an increasing amount of orders. While the competitors in the foundry industry (primarily GlobalFoundries and United Microelectronics Corporation) have encountered difficulties ramping leading-edge 28 nm capacity, the leading Integrated Device Manufacturers such as Samsung and Intel that seek to offer foundry capacity to third parties were also unable to match the requirements for advanced mobile applications.For most of 2014, TSMC saw a continuing increase in revenues due to increased demand, primarily due to chips for smartphone applications. TSMC raised its financial guidance in March 2014 and posted ‘unseasonably strong’ first-quarter results.WEB, 12 March 2014, TSMC Updates 1Q’14 Guidance,pr.tsmc.com/english/news/1804, 18 May 2014, TSMC, 17 April 2021,web.archive.org/web/20210417120955/https://pr.tsmc.com/english/news/1804, live, For Q2 2014, revenues came in at NT$183 billion, with 28 nm technology business growing more than 30% from the previous quarter.WEB, 16 July 2014, TSMC Reports Second Quarter EPS of NT$2.30,pr.tsmc.com/english/news/1818, 16 August 2014, TSMC, 17 April 2021,web.archive.org/web/20210417120958/https://pr.tsmc.com/english/news/1818, live, Lead times for chip orders at TSMC increased due to a tight capacity situation, putting fabless chip companies at risk of not meeting their sales expectations or shipment schedules,NEWS, Cage, Chao, Shen, Steve, 9 May 2014, TSMC extends 28 nm production lead time to 16 weeks, DigiTimes,www.digitimes.com/news/a20140509PD207.html, subscription, 17 May 2014, 12 May 2014,www.digitimes.com/news/a20140509PD207.html," title="web.archive.org/web/20140512063338www.digitimes.com/news/a20140509PD207.html,">web.archive.org/web/20140512063338www.digitimes.com/news/a20140509PD207.html, live, and in August 2014 it was reported that TSMC’s production capacity for the fourth quarter of 2014 was already almost fully booked, a scenario that had not occurred for many years, which was described as being due to a ripple-effect due to TSMC landing CPU orders from Apple.NEWS, Cage, Chao, Shen, Steve, 14 August 2014, TSMC 4Q14 production capacity almost fully booked, DigiTimes,www.digitimes.com/news/a20140814PD214.html, subscription, 16 August 2014, 18 August 2014,www.digitimes.com/news/a20140814PD214.html," title="web.archive.org/web/20140818090505www.digitimes.com/news/a20140814PD214.html,">web.archive.org/web/20140818090505www.digitimes.com/news/a20140814PD214.html, live, However, monthly sales for 2014 peaked in October, decreasing by 10% in November due to cautious inventory adjustment actions taken by some of its customers.NEWS, Wang, Lisa, 11 December 2014, TSMC revenue shrinks as clients adjust inventories, Taipei Times,www.taipeitimes.com/News/biz/archives/2014/12/11/2003606440, 6 January 2015, 6 January 2015,www.taipeitimes.com/News/biz/archives/2014/12/11/2003606440," title="web.archive.org/web/20150106030233www.taipeitimes.com/News/biz/archives/2014/12/11/2003606440,">web.archive.org/web/20150106030233www.taipeitimes.com/News/biz/archives/2014/12/11/2003606440, live, TSMC’s revenue for 2014 saw growth of 28% over the previous year, while TSMC forecasted that revenue for 2015 would grow by 15 to 20 percent from 2014, thanks to strong demand for its 20 nm process, new 16 nm FinFET process technology as well as continuing demand for 28 nm, and demand for less advanced chip fabrication in its 200mm fabs.

Technologies

File:NVIDIA-GTX-1070-FoundersEdition-FL.jpg|thumb|right|The Nvidia GeForce GTX 1070, which uses the GP104GP104TSMC’s N7+ is the first commercially available extreme-ultraviolet lithographic process in the semiconductor industry.WEB, Halfacree, Gareth, 8 October 2019, TSMC’s EUV N7+ node hits volume production,bit-tech.net/news/tsmcs-euv-n7-node-hits-volume-production/1/, 9 July 2020, bit-tech, en, 9 July 2020,web.archive.org/web/20200709153521/https://bit-tech.net/news/tsmcs-euv-n7-node-hits-volume-production/1/, live, It uses ultraviolet patterning and enables more acute circuits to be implemented on the silicon. N7+ offers a 15–20% higher transistor density and 10% reduction in power consumption than previous technology.WEB, Shilov, Anton, TSMC: N7+ EUV Process Technology in High Volume, 6nm (N6) Coming Soon,www.anandtech.com/show/14954/tsmc-n7-euv-process-technology-in-hvm-n6-on-track, 9 July 2020, AnandTech, 8 August 2020,web.archive.org/web/20200808001956/https://www.anandtech.com/show/14954/tsmc-n7-euv-process-technology-in-hvm-n6-on-track, live, WEB, October 2019, Arne Verheyde 07, TSMC Starts Shipping EUV N7+ Chips, AMD Among Likely Customers,www.tomshardware.com/news/tsmc-amd-euv-n7-7nm-process,40575.html, 9 July 2020, Tom’s Hardware, 7 October 2019, en, The N7 achieved the fastest ever volume time to market, faster than 10 nm and 16 nm.WEB, TSMC Technology Roadmap,community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/tsmc-technology-symposium-report, 9 July 2020, community.cadence.com, 26 April 2019, en, 11 July 2020,web.archive.org/web/20200711090201/https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/tsmc-technology-symposium-report, live, The N5 iteration doubles transistor density and improves performance by an additional 15%.WEB, Zafar, Ramish, 23 October 2019, TSMC’s N7+ EUV Yield Dropped Below 70% Claims Report,wccftech.com/tsmc-7nm-euv-yield-alleged/, 9 July 2020, Wccftech, en-US, 10 July 2020,web.archive.org/web/20200710233538/https://wccftech.com/tsmc-7nm-euv-yield-alleged/, live, WEB, TSMC enters high volume production with N7+ EUV process,www.techspot.com/news/82246-tsmc-enters-high-volume-production-n7-euv-process.html, 9 July 2020, TechSpot, 8 October 2019, en-US, 9 July 2020,web.archive.org/web/20200709083427/https://www.techspot.com/news/82246-tsmc-enters-high-volume-production-n7-euv-process.html, live,

Production capabilities

On 300 mm wafers, TSMC has silicon lithography on node sizes: It also offers “design for manufacturing” (DFM) customer services.WEB, Advanced 12-inch Technology,www.tsmc.com/english/dedicatedFoundry/technology/advanced_12inch_technology.htm,www.tsmc.com/english/dedicatedFoundry/technology/advanced_12inch_technology.htm," title="web.archive.org/web/20161211053506www.tsmc.com/english/dedicatedFoundry/technology/advanced_12inch_technology.htm,">web.archive.org/web/20161211053506www.tsmc.com/english/dedicatedFoundry/technology/advanced_12inch_technology.htm, 11 December 2016, 16 January 2017, TSMC, In press publications, these processes will often be referenced, for example, for the mobile variant, simply by 7nmFinFET or even more briefly by 7FF.At the beginning of 2019, TSMC was advertising N7+, N7, and N6 as its leading edge technologies.WEB, 16 April 2019, TSMCUnveils 6-nanometer Process,www.tsmc.com/uploadfile/pr/newspdf/THWQWQTHTH/NEWS_FILE_EN.pdf, 16 April 2019, TSMC, 17 April 2019,web.archive.org/web/20190417144858/https://www.tsmc.com/uploadfile/pr/newspdf/THWQWQTHTH/NEWS_FILE_EN.pdf, live, As of June 2020, TSMC is the manufacturer selected for production of Apple’s 5 nanometer ARM processors, as “the company plans to eventually transition the entire Mac lineup to its Arm-based processors, including the priciest desktop computers”.NEWS, Marc, Gurman, 9 June 2020, Apple Plans to Announce Move to Its Own Mac Chips at WWDC,news.google.com/articles/CAIiEMmSL5JFXu8OljLTwK_sHXwqGQgEKhAIACoHCAow4uzwCjCF3bsCMIrOrwM, 10 June 2020, Bloomberg L.P., en, Google News, In July 2020, TSMC signed a 20-year deal with Ørsted to buy the entire production of two offshore wind farms under development off Taiwan’s west coast. At the time of its signing, it was the world’s largest corporate green energy order ever made.NEWS, Strong, Matthew, 8 July 2020, Taiwan chip giant TSMC places world’s largest wind power order with Ørsted, Taiwan News,www.taiwannews.com.tw/en/news/3962736, 8 July 2020, 10 July 2020,web.archive.org/web/20200710194936/https://www.taiwannews.com.tw/en/news/3962736, live, In July 2021, both Apple and Intel were reported to be testing their proprietary chip designs with TSMC’s 3 nm production.NEWS, Ting-Fang, Cheng, Apple and Intel become first to adopt TSMC’s latest chip tech,asia.nikkei.com/Business/Tech/Semiconductors/Apple-and-Intel-become-first-to-adopt-TSMC-s-latest-chip-tech, 23 July 2021, Nikkei Asia, 2 July 2021, 23 July 2021,web.archive.org/web/20210723012923/https://asia.nikkei.com/Business/Tech/Semiconductors/Apple-and-Intel-become-first-to-adopt-TSMC-s-latest-chip-tech, live,

Facilities

{| class=“wikitable zebra mw-collapsible“|+ TSMC Facilities! style="width:5em“| Name! style="width:15em“| Location! style="width:10em“| Category! RemarksHsinchu({{coord>242512055region:TW-HSZ_dim:500_type:building|name=TSMC Fab 2}})| 150 mm wafer|Hsinchu({{coord>243112028region:TW-HSZ_dim:500_type:building|name=TSMC Fab 3}})| 200 mm wafer|Hsinchu({{coord>242512055region:TW-HSZ_dim:500_type:building|name=TSMC Fab 5}})| 200 mm wafer|Shanhua District({{coord>2336.212024.7region:TW-TNN_dim:500_type:building|name=TSMC Fab 6}})| 200 mm wafer| phases 1 & 2 operationalHsinchu({{coord>244412111region:TW-HSZ_dim:500_type:building|name=TSMC Fab 8}})| 200 mm wafer|Songjiang District>Songjiang, Shanghai({{coord2N9Ename=TSMC Fab 10}})| 200 mm wafer| TSMC China Company LimitedCamas, Washington({{coord>457.712220region:US-WA_dim:500_type:building|name=TSMC Fab 11}})| 200 mm wafer| WaferTech L.L.C.; 100% TSMCHsinchu({{coord>2424.912147.2region:TW-HSZ_dim:500_type:building|name=TSMC Fab 12A}})| 300 mm wafer| phases 1, 2, 4–7 operational, phase 8 under construction, and phase 9 planned TSMC head officeHsinchu({{coord>243712035region:TW-HSZ_dim:500_type:building|name=TSMC Fab 12B}})| 300 mm wafer| TSMC R&D Center, phase 3 operationalShanhua District({{coord>2346.212026.9region:TW-TNN_dim:500_type:building|name=TSMC Fab 14}})| 300 mm wafer| phases 1–7 operational, phase 8 under constructionTaichung({{coord>2441.31202.4region:TW-TXG_dim:500_type:building|name=TSMC Fab 15}})| 300 mm wafer| phases 1–7 operationalNanjing, Jiangsu({{coord>313311859region:CN-JS_dim:500_type:building|name=TSMC Fab 16}})| 300 mm wafer| TSMC Nanjing Company LimitedAnding District, Tainan({{coord>230512045region:TW-TNN_dim:250_type:building|name=TSMC Fab 18}})| 300 mm wafer| phases 1–8 operationalHsinchu({{coord>245112110region:TW-HSZ_dim:500_type:building|name=TSMC Fab 20}})| 300 mm wafer| planned in 4 phasesPhoenix, Arizona({{coord>333011230region:US-AZ_dim:1000_type:building|name=TSMC Fab 21}})| 300 mm wafer| phase 1 under construction, opening projected for the end of 2024; phase 2 under construction, opening projected for the end of 2026Kaohsiung({{coord>223512044region:TW-KHH_dim:500_type:building|name=TSMC Fab 22}})| 300 mm wafer| 3 phases planned; phase 1 under constructionKumamoto (Japan)({{coord>32813033region:JP-43_dim:500_type:building|name=TSMC Fab 23}})| 300 mm wafer| Japan Advanced Semiconductor Manufacturing, Inc.joint venture founded by TSMC (70%), SSSC (20%), and Denso (10%)under construction, opening projected for the end of 20241581035.7region:SG_dim:500_type:building|name=SSMC (TSMC-NXP JV)}})| 200 mm wafer| Systems on Silicon Manufacturing Cooperation, 1998 founded as joint venture by TSMC, Philips Semiconductors (now NXP Semiconductors), and EDB Investments, Singapore. In November 2006 EDB left the joint venture and TSMC raised their stake in SSMC to 38.8%, NXP to 61.2%.Hsinchu({{coord>2439.612028.9region:TW-HSZ_dim:500_type:building|name=TSMC Advanced Backend Fab 1}})| Backend|Shanhua District({{coord>2346.212026.9region:TW-TNN_dim:500_type:building|name=TSMC Advanced Backend Fab 2}})| Backend| AP2B and AP2C operationalLongtan District, Taoyuan({{coord>24.883541region:TW-TAO_dim:250_type:building|name=TSMC Advanced Backend Fab 3}})| Backend|Taichung({{coord>2452.912005.1region:TW-TXG_dim:500_type:building|name=TSMC Advanced Backend Fab 5}})| Backend|Zhunan({{coord>242512026region:TW-HSZ_dim:500_type:building|name=TSMC Advanced Backend Fab 6}})| Backend| planned in 3 phases, AP6A operational, phases B & C under constructionTaibao>Taibo City, Chiayi County({{coord28N18Ename=TSMC Advanced Backend Fab 7}})| Backend| planned in 2 phases

Arizona

(File:231105-1 TSMC Fab 21 construction.jpg|thumb|Fab 21 under construction in Phoenix, Arizona in November 2023)In 2020, TSMC announced a planned fab in Phoenix, Arizona, intended to begin production by 2024 at a rate of 20,000 wafers per month. As of 2020, TSMC announced that it would bring its newest 5 nm process to the Arizona facility, a significant break from its prior practice of limiting US fabs to older technologies. The Arizona plant was estimated to not be fully operational until 2024, when the 5 nm process is projected to be replaced by TSMC’s 3 nm process as the latest technology.NEWS, Gallagher, Dan, 15 May 2020, What a Small Chip Fab Really Buys, en-US, The Wall Street Journal,www.wsj.com/articles/what-a-small-chip-fab-really-buys-11589566586, 2020-11-27, 0099-9660, 6 December 2020,web.archive.org/web/20201206082943/https://www.wsj.com/articles/what-a-small-chip-fab-really-buys-11589566586, live, At launch it will be the most advanced fab in the United States.NEWS, Smith, Ryan, 15 May 2020, TSMC To Build 5nm Fab In Arizona, Set To Come Online In 2024,www.anandtech.com/show/15803/tsmc-build-5nm-fab-in-arizona-for-2024, 18 October 2020, 20 October 2020,web.archive.org/web/20201020184623/https://www.anandtech.com/show/15803/tsmc-build-5nm-fab-in-arizona-for-2024, live, TSMC plans to spend $12 billion on the project over eight years, beginning in 2021. TSMC claimed the plant will create 1,900 full-time jobs.NEWS, Chang, Eric, 24 December 2020, Taiwan’s TSMC begins hiring push for $12 billion Arizona facility, Taiwan News,www.taiwannews.com.tw/en/news/4085851, live, 27 February 2021,web.archive.org/web/20210128160706/https://www.taiwannews.com.tw/en/news/4085851, 28 January 2021, In December 2022, TSMC announced its plans to triple its investment in the Arizona plants in response to the growing tensions between the US and China and the supply chain disruption that has led to chip shortages.NEWS, 7 December 2022, iPhone chip-maker TSMC invests $40bn in Arizona plants, BBC News,www.bbc.com/news/business-63883047, 7 December 2022, In that same month, TSMC stated that they were running into major cost issues, because the cost of construction of buildings and facilities in the US is four to five times what an identical plant would cost in Taiwan, (due to higher costs of labor, red tape, and training), as well as difficulty finding qualified personnel (for which it has hired US workers and sent them for training in Taiwan for 12–18 months.) These additional production costs will increase the cost of TSMC’s chips made in the US to at least 50% more than the cost of chips made in Taiwan.NEWS, Jie, Yang, 5 December 2022, TSMC’s Arizona Chip Plant, Awaiting Biden Visit, Faces Birthing Pains – Taiwanese company cites high costs and shortage of skilled personnel as it pushes to open $12 billion factory next year, Wall Street Journal,www.wsj.com/articles/tsmcs-arizona-chip-plant-awaiting-biden-visit-faces-birthing-pains-11670236129?mod=Searchresults_pos2&page=1, live, 4 March 2023,web.archive.org/web/20230303023453/https://www.wsj.com/articles/tsmcs-arizona-chip-plant-awaiting-biden-visit-faces-birthing-pains-11670236129?mod=Searchresults_pos2&page=1, 3 March 2023, Mr. Chang said the cost of making chips in Arizona may be at least 50% higher than in Taiwan., WEB, Smith, Noah, Noah Smith (writer), 7 February 2023, The Build-Nothing Country – Stasis has become America’s spoils system, and it can’t go on.,noahpinion.substack.com/p/the-build-nothing-country?sd=pf, live,web.archive.org/web/20230303023452/https://noahpinion.substack.com/p/the-build-nothing-country?sd=pf, 3 March 2023, 4 March 2023, Even in semiconductors, the ultra-high-tech industry where the U.S. and its allies must maintain leadership in order to maintain their edge over China, the U.S. can’t seem to build much. TSMC, the Taiwanese company that recently agreed to build a big plant in Arizona, is running into major cost issues:, TWEET, 1614367722921418752, kevinsxu, We’re not able to share with you a specific cost gap number between Taiwan and US, but we can share with you that the major reason for the cost gap is the construction cost of building and facilities, which can be 4 to 5x greater for US fab versus a fab in Taiwan., 14 January 2023, 2023-03-02, In July 2023 TSMC warned that US talent was insufficient, so Taiwanese workers will need to be brought in for a limited time, and that the chip factory won’t be operational until 2025.WEB, Belanger, Ashley, 20 July 2023, TSMC delays US chip fab opening, says US talent is insufficient,arstechnica.com/tech-policy/2023/07/tsmc-delays-us-chip-fab-opening-says-us-talent-is-insufficient/, 2023-07-21, Ars Technica, en-us, In September 2023, an analyst said the chips will still need to be sent back to Taiwan for packaging.WEB, Lovejoy, Ben, 11 September 2023, TSMC Arizona chip plant will be a paperweight, says analyst,9to5mac.com/2023/09/11/tsmc-arizona-chip-plant/, 2023-09-14, 9to5Mac, en-US, In January 2024, TSMC chairman Liu again warned that Arizona lacked workers with the specialized skills to hire and that TSMC’s second Arizona plant likely won’t start volume production of advanced chips until 2027 or 2028.WEB, Belanger, Ashley, 18 January 2024, TSMC predicts delays, less advanced chips at second Arizona fab,arstechnica.com/tech-policy/2024/01/bidens-plan-to-dominate-chips-market-may-face-delays-downgrades-at-tsmc-fabs/, live,web.archive.org/web/20240119180933/https://arstechnica.com/tech-policy/2024/01/bidens-plan-to-dominate-chips-market-may-face-delays-downgrades-at-tsmc-fabs/, 2024-01-19, 2024-01-19, Ars Technica, en-us, In April 2024, the US Commerce Department agreed to provide $6.6 billion in direct funding and up to $5 billion in loans to TSMC for the purposes of creating semiconductor manufacturing facilities in Arizona. This action falls under the CHIPS and Science Act and is intended to boost domestic chip production for the USA.WEB, Park, Kate, US to award TSMC $6.6B in grants, $5B in loans to step up chip manufacturing in Arizona,techcrunch.com/2024/04/08/us-to-award-tsmc-6-6b-in-grants-5b-in-loans-to-step-up-chip-manufacturing-in-arizona/, TechCrunch, 8 April 2024, 8 April 2024,

Central Taiwan Science Park

The investment of US$9.4 billion to build its third 300mm wafer fabrication facility in Central Taiwan Science Park (Fab 15) was originally announced in 2010.NEWS,www.reuters.com/article/idUSTOE66F03G20100716, UPDATE 1-TSMC says plans $9.4 bln Taiwan plant, Reuters, 16 July 2010, 16 July 2010, 17 December 2019,web.archive.org/web/20191217040136/https://www.reuters.com/article/tsmc/update-1-tsmc-says-plans-9-4-bln-taiwan-plant-idUSTOE66F03G20100716, live, The facility was expected to manufacture over 100,000 wafers a month and generate US$5 billion per year of revenue.WEB, Clendenin, Mike, 21 July 2010, Analyst Warns Of Semiconductor Monopoly,informationweek.com/mobile/analyst-warns-of-semiconductor-monopoly/d/d-id/1090953, InformationWeek, 17 April 2021, 17 April 2021,web.archive.org/web/20210417120955/https://informationweek.com/mobile/analyst-warns-of-semiconductor-monopoly/d/d-id/1090953, live, TSMC has continued to expand advanced 28 nm manufacturing capacity at Fab 15.NEWS,fudzilla.com/home/item/29751-tsmc-increases-28nm-output, TSMC increases 28 nm output, fudzilla, 7 December 2012, 19 May 2014, 22 May 2014,fudzilla.com/home/item/29751-tsmc-increases-28nm-output," title="web.archive.org/web/20140522184345fudzilla.com/home/item/29751-tsmc-increases-28nm-output,">web.archive.org/web/20140522184345fudzilla.com/home/item/29751-tsmc-increases-28nm-output, live, On 12 January 2011, TSMC announced the acquisition of land from Powerchip Semiconductor for NT$2.9 billion (US$96 million) to build two additional 300mm fabs (Fab 12B) to cope with increasing global demand.NEWS,news.cens.com/cens/html/en/news/news_inner_35080.html, TSMC Acquires PSC Land for New Fab Construction, Taiwan Economic News, 13 January 2011, 13 January 2011, dead,news.cens.com/cens/html/en/news/news_inner_35080.html," title="web.archive.org/web/20110724033015news.cens.com/cens/html/en/news/news_inner_35080.html,">web.archive.org/web/20110724033015news.cens.com/cens/html/en/news/news_inner_35080.html, 24 July 2011,

WaferTech subsidiary

WaferTech, a subsidiary of TSMC, is a pure-play semiconductor foundry based in Camas, Washington, {{convert|32|km|mi|abbr=on}} outside Portland, Oregon. The WaferTech campus contains a {{convert|9.3|ha|acre|abbr=on}} complex housed on {{convert|105|ha|acre|abbr=on}}, with a main fabrication facility consisting of a {{convert|12000|sqm|sqft|abbr=on}} 200mm wafer fabrication plant.WEB, WaferTech site subject of speculation,www.columbian.com/news/2013/jan/30/wafertech-site-subject-speculation/, 2021-07-16, The Columbian, en-US, 16 July 2021,web.archive.org/web/20210716152650/https://www.columbian.com/news/2013/jan/30/wafertech-site-subject-speculation/, live, The site is the second-largest pure-play foundry in the United States, employing 1,100 workers.{{Citation needed|date=May 2014}} The largest is GlobalFoundries Fab 8 in Malta, New York, which employs over 3,000 workers with over {{convert|278709|sqm|sqft|abbr=on}} under one roof.As of 2024, the facility supports node sizes of 0.35, 0.30, 0.25, 0.22, 0.18, and 0.16 micrometers, with an emphasis on embedded flash process technology.WEB,www.tsmcwashington.com/en/foundry/technology.html, Technology, 28 January 2024, tsmcwashington.com,

History

WaferTech was established in June 1996 as a joint venture with TSMC, Altera, Analog Devices, and ISSI as key partners. The four companies and minor individual investors placed US$1.2 billion into this venture, which was at the time the single largest startup investment in the state of Washington. The company started production in July 1998 in its 200mm semiconductor fabrication plant. Its first product was a 0.35 micrometer part for Altera.{{Citation needed|date=May 2014}}TSMC bought out the joint venture partners in 2000 and acquired full control, operating it as a fully owned subsidiary.NEWS, 15 December 2000, Company News – Chip maker is buying out three WaferTech partners, The New York Times, Bloomberg,www.nytimes.com/2000/12/15/business/company-news-chip-maker-is-buying-out-three-wafertech-partners.html, 17 April 2021, 17 April 2021,web.archive.org/web/20210417120953/https://www.nytimes.com/2000/12/15/business/company-news-chip-maker-is-buying-out-three-wafertech-partners.html, live, In 2015, Dr. Tsung Kuo was named company president and fab director of WaferTech.WEB, WaferTech promotes Kuo to president, fab director,www.columbian.com/news/2015/aug/20/wafertech-promotes-kuo-president-fab-director/, 2021-12-17, The Columbian, en-US, 17 December 2021,web.archive.org/web/20211217184011/https://www.columbian.com/news/2015/aug/20/wafertech-promotes-kuo-president-fab-director/, live,

Germany

In August 2023, TSMC committed 3.5 billion Euros to a 10+ billion Euro factory in Dresden, Germany, with participation of Robert Bosch GmbH, Infineon Technologies and NXP Semiconductors and a 5 billion Euro subsidy from the German government, in exchange for a 70% majority in the resulting European Semiconductor Manufacturing Company (ESMC).NEWS, Taiwan’s TSMC to build semiconductor factory in Germany,www.dw.com/en/taiwans-tsmc-to-build-semiconductor-factory-in-germany/a-66469463, 9 August 2023, NEWS, TSMC approves joint venture to build plant in Dresden, Germany,focustaiwan.tw/business/202308080019, 9 August 2023, NEWS, Germany spends big to win $11 billion TSMC chip plant,edition.cnn.com/2023/08/08/business/germany-tsmc-chip-plant/index.html, 9 August 2023, NEWS, TSMC, Bosch, Infineon, and NXP Establish Joint Venture to Bring Advanced Semiconductor Manufacturing to Europe,pr.tsmc.com/english/news/3049,

Ownership

Around 56% of TSMC shares are held by the general public and around 38% are held by institutions. The largest shareholders in early 2024 were:WEB, Taiwan Semiconductor Manufacturing Company Limited Insider Trading & Ownership Structure,simplywall.st/stocks/us/semiconductors/nyse-tsm/taiwan-semiconductor-manufacturing/ownership, 2024-03-08, Simply Wall St, en,

See also

{{Div col|colwidth=24em}} {{Div col end}}

References

{{Reflist}}

External links

{{Commons category}}
  • {{official website}}
  • {hide}Finance links| symbol = TSM| sec_cik = TSM| google = NYSE:TSM| yahoo = TSM| bloomberg = TSM:US| reuters = TSM| nasdaq = TSM
{edih}{{Economy of Taiwan}}{{Electronics industry in Taiwan}}{{Major semiconductor companies}}{{S&P Asia 50}}{{authority control}}

- content above as imported from Wikipedia
- "TSMC" does not exist on GetWiki (yet)
- time: 7:54am EDT - Wed, May 22 2024
[ this remote article is provided by Wikipedia ]
LATEST EDITS [ see all ]
GETWIKI 21 MAY 2024
GETWIKI 09 JUL 2019
Eastern Philosophy
History of Philosophy
GETWIKI 09 MAY 2016
GETWIKI 18 OCT 2015
M.R.M. Parrott
Biographies
GETWIKI 20 AUG 2014
CONNECT